comp.lang.ada
 help / color / mirror / Atom feed
From: bobduff@world.std.com (Robert A Duff)
Subject: Re: Record aggregate question (language lawyer needed!)
Date: 1996/06/21
Date: 1996-06-21T00:00:00+00:00	[thread overview]
Message-ID: <DtD7Kn.ACy@world.std.com> (raw)
In-Reply-To: mcriley.835361851@BIX.com


In article <mcriley.835361851@BIX.com>, mcriley on BIX <mcriley@BIX.com> wrote:
>   type Task_Record_Type is
>	record
>	    Originator : String (1 .. 20);
>	    Header_Dtg : String (1 .. 12);
>	end record;
>	
>    Task_Record : Task_Record_Type := (others => (others => ' '));

This is fine -- no exception should be raised.  The inner aggregate
needds to get evaluated twice, and will have different bounds those two
times.

IMHO, "others" should not have been allowed in record aggregates -- only
in array aggregates.  It's just too weird, and it causes an unreasonable
amount of implementation difficulty.

- Bob




  reply	other threads:[~1996-06-21  0:00 UTC|newest]

Thread overview: 8+ messages / expand[flat|nested]  mbox.gz  Atom feed  top
1996-06-21  0:00 Record aggregate question (language lawyer needed!) mcriley on BIX
1996-06-21  0:00 ` Robert A Duff [this message]
1996-06-21  0:00 ` Theodore E. Dennison
1996-06-22  0:00   ` Robert A Duff
1996-06-24  0:00   ` Norman H. Cohen
1996-06-24  0:00   ` Philip Brashear
1996-06-25  0:00     ` Theodore E. Dennison
1996-06-21  0:00 ` Norman H. Cohen
replies disabled

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox