comp.lang.ada
 help / color / mirror / Atom feed
* Converting 64 bit float to record containing a record of one 32 bit integer and one 32 unsigned.
@ 2017-12-01  6:38 Petter Fryklund
  2017-12-01  9:24 ` Petter Fryklund
  0 siblings, 1 reply; 6+ messages in thread
From: Petter Fryklund @ 2017-12-01  6:38 UTC (permalink / raw)


This would be very easy if one could get a hex image from Float_IO. I haven't got any 64 bit integers in this app, since it is in old ObjectAda. Does anybody have a suggestions?

Regards,
Petter


^ permalink raw reply	[flat|nested] 6+ messages in thread

end of thread, other threads:[~2017-12-05  7:55 UTC | newest]

Thread overview: 6+ messages (download: mbox.gz / follow: Atom feed)
-- links below jump to the message on this page --
2017-12-01  6:38 Converting 64 bit float to record containing a record of one 32 bit integer and one 32 unsigned Petter Fryklund
2017-12-01  9:24 ` Petter Fryklund
2017-12-01 11:33   ` Jeffrey R. Carter
2017-12-01 12:32     ` Petter Fryklund
2017-12-01 16:39       ` Jeffrey R. Carter
2017-12-05  7:55         ` Petter Fryklund

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox