From mboxrd@z Thu Jan 1 00:00:00 1970 X-Spam-Checker-Version: SpamAssassin 3.4.4 (2020-01-24) on polar.synack.me X-Spam-Level: X-Spam-Status: No, score=-1.3 required=5.0 tests=BAYES_00,INVALID_MSGID autolearn=no autolearn_force=no version=3.4.4 X-Google-Language: ENGLISH,ASCII-7-bit X-Google-Thread: 103376,5ccd8ee851fe2e00 X-Google-Attributes: gid103376,public From: bobduff@world.std.com (Robert A Duff) Subject: Re: Record aggregate question (language lawyer needed!) Date: 1996/06/21 Message-ID: #1/1 X-Deja-AN: 161434150 references: organization: The World Public Access UNIX, Brookline, MA keywords: record aggregate bug newsgroups: comp.lang.ada Date: 1996-06-21T00:00:00+00:00 List-Id: In article , mcriley on BIX wrote: > type Task_Record_Type is > record > Originator : String (1 .. 20); > Header_Dtg : String (1 .. 12); > end record; > > Task_Record : Task_Record_Type := (others => (others => ' ')); This is fine -- no exception should be raised. The inner aggregate needds to get evaluated twice, and will have different bounds those two times. IMHO, "others" should not have been allowed in record aggregates -- only in array aggregates. It's just too weird, and it causes an unreasonable amount of implementation difficulty. - Bob