From mboxrd@z Thu Jan 1 00:00:00 1970 X-Spam-Checker-Version: SpamAssassin 3.4.4 (2020-01-24) on polar.synack.me X-Spam-Level: X-Spam-Status: No, score=-1.3 required=5.0 tests=BAYES_00,INVALID_MSGID autolearn=no autolearn_force=no version=3.4.4 X-Google-Language: ENGLISH,ASCII-7-bit X-Google-Thread: 103376,6b0c6ad7309dfc1e,start X-Google-Attributes: gid103376,public From: Brendan Reville Subject: circular unit dependency Date: 1998/05/26 Message-ID: <356A3CC8.5D3F@mpce.mq.edu.au>#1/1 X-Deja-AN: 356528696 Content-Transfer-Encoding: 7bit Content-Type: text/plain; charset=us-ascii Organization: Mathematics, Physics, Computing & Electronics - Macquarie University Mime-Version: 1.0 Newsgroups: comp.lang.ada Date: 1998-05-26T00:00:00+00:00 List-Id: I have two packages, each of which "with"s the other. I get the following error: "Circular unit dependency." How can I then allow each package to "with" the other? Thanks very much for any help! - Brendan