From mboxrd@z Thu Jan 1 00:00:00 1970 X-Spam-Checker-Version: SpamAssassin 3.4.4 (2020-01-24) on polar.synack.me X-Spam-Level: X-Spam-Status: No, score=-0.9 required=5.0 tests=BAYES_00,FORGED_GMAIL_RCVD, FREEMAIL_FROM autolearn=no autolearn_force=no version=3.4.4 X-Google-Thread: 103376,79d82904f3b7ef79 X-Google-Attributes: gid103376,public,usenet X-Google-Language: ENGLISH,ASCII-7-bit Path: g2news1.google.com!postnews.google.com!k79g2000hse.googlegroups.com!not-for-mail From: adam.betts155@gmail.com Newsgroups: comp.lang.ada Subject: Re: "limited with" packages Date: Thu, 21 Jun 2007 01:13:04 -0700 Organization: http://groups.google.com Message-ID: <1182413584.488190.39880@k79g2000hse.googlegroups.com> References: <1182345613.667367.212750@q69g2000hsb.googlegroups.com> <1182353886.851068.178990@j4g2000prf.googlegroups.com> <1182356849.607952.278940@q75g2000hsh.googlegroups.com> <1182363216.698917.34860@d30g2000prg.googlegroups.com> <1182364039.693013.20150@z28g2000prd.googlegroups.com> NNTP-Posting-Host: 85.118.3.38 Mime-Version: 1.0 Content-Type: text/plain; charset="us-ascii" X-Trace: posting.google.com 1182413584 24536 127.0.0.1 (21 Jun 2007 08:13:04 GMT) X-Complaints-To: groups-abuse@google.com NNTP-Posting-Date: Thu, 21 Jun 2007 08:13:04 +0000 (UTC) In-Reply-To: <1182364039.693013.20150@z28g2000prd.googlegroups.com> User-Agent: G2/1.0 X-HTTP-UserAgent: Mozilla/5.0 (Windows; U; Windows NT 5.1; en-GB; rv:1.8.0.12) Gecko/20070508 Firefox/1.5.0.12,gzip(gfe),gzip(gfe) Complaints-To: groups-abuse@google.com Injection-Info: k79g2000hse.googlegroups.com; posting-host=85.118.3.38; posting-account=XCgU6Q0AAADnvDnlqBR5Sijc4OfKVWs2 Xref: g2news1.google.com comp.lang.ada:16286 Date: 2007-06-21T01:13:04-07:00 List-Id: On 20 Jun, 19:27, Anh Vo wrote: > On Jun 20, 11:13 am, Adam Beneschan wrote: > > > On Jun 20, 9:27 am, adam.betts...@gmail.com wrote: > > > This time the compiler is complaining that: > > > 1) procedure get_type_one in the package body of my_package is "not > > > fully conformant with declaration" in the spec and that, > > > 2) the type of t_1 does not match > > > I think that's a compiler bug. > > I am not sure about that. The first thing to correct correct the > syntax error as marked below > > package body my_package is > procedure get_type_one (t_2: in out type_two'class; t_1: out > other_package.type_one'class); -- syntax error here! > begin > t_1 := create(t_2); > end get_type_one; > end my_package; Yeah sorry that was just a typo...I was just trying to produce a minimal example from my rather complex code, so it got a bit sloppy. In general my code compiles except for the error that I pointed out above in my last post. I'm thinking it is a compiler bug