From mboxrd@z Thu Jan 1 00:00:00 1970 X-Spam-Checker-Version: SpamAssassin 3.4.4 (2020-01-24) on polar.synack.me X-Spam-Level: X-Spam-Status: No, score=-0.9 required=5.0 tests=BAYES_00,FORGED_GMAIL_RCVD, FREEMAIL_FROM autolearn=no autolearn_force=no version=3.4.4 X-Google-Thread: 103376,79d82904f3b7ef79 X-Google-Attributes: gid103376,public,usenet X-Google-Language: ENGLISH,ASCII-7-bit Path: g2news1.google.com!postnews.google.com!z28g2000prd.googlegroups.com!not-for-mail From: Anh Vo Newsgroups: comp.lang.ada Subject: Re: "limited with" packages Date: Wed, 20 Jun 2007 18:27:19 -0000 Organization: http://groups.google.com Message-ID: <1182364039.693013.20150@z28g2000prd.googlegroups.com> References: <1182345613.667367.212750@q69g2000hsb.googlegroups.com> <1182353886.851068.178990@j4g2000prf.googlegroups.com> <1182356849.607952.278940@q75g2000hsh.googlegroups.com> <1182363216.698917.34860@d30g2000prg.googlegroups.com> NNTP-Posting-Host: 209.225.225.89 Mime-Version: 1.0 Content-Type: text/plain; charset="us-ascii" X-Trace: posting.google.com 1182364040 17946 127.0.0.1 (20 Jun 2007 18:27:20 GMT) X-Complaints-To: groups-abuse@google.com NNTP-Posting-Date: Wed, 20 Jun 2007 18:27:20 +0000 (UTC) In-Reply-To: <1182363216.698917.34860@d30g2000prg.googlegroups.com> User-Agent: G2/1.0 X-HTTP-UserAgent: Mozilla/4.0 (compatible; MSIE 6.0; Windows NT 5.0; .NET CLR 1.1.4322; InfoPath.1),gzip(gfe),gzip(gfe) Complaints-To: groups-abuse@google.com Injection-Info: z28g2000prd.googlegroups.com; posting-host=209.225.225.89; posting-account=JVr7Xg0AAAAI3MbuARxMmvWLmA7qdJMx Xref: g2news1.google.com comp.lang.ada:16281 Date: 2007-06-20T18:27:19+00:00 List-Id: On Jun 20, 11:13 am, Adam Beneschan wrote: > On Jun 20, 9:27 am, adam.betts...@gmail.com wrote: > > This time the compiler is complaining that: > > 1) procedure get_type_one in the package body of my_package is "not > > fully conformant with declaration" in the spec and that, > > 2) the type of t_1 does not match > > I think that's a compiler bug. I am not sure about that. The first thing to correct correct the syntax error as marked below package body my_package is procedure get_type_one (t_2: in out type_two'class; t_1: out other_package.type_one'class); -- syntax error here! begin t_1 := create(t_2); end get_type_one; end my_package;